• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 11건

작품기능 시스템 구성 및 내역-------------------------- 1) 흐름도 2) 각 기능별 회로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robot부분 TEST--------------------------- 1) Robot_Main부분 Test 2) Receive LCD Part TEST
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
AVR 마이크로프로세서(2003), 김경만 심광열, 태영문화사 마이크로프로세서(2006), 정금석, 학술정보 2006년 숭실대학교 논문집(2006), 숭실대학교출판부 『서보모터를 암제어』논문(2007), 방철혁, 서경대학교 『Rf무선통신 6족 로봇』논문(2006), 김진
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
3.6 동작원리 ----------------- 3.7 영상처리 후 AVR로 데이터 전송 ----------------- 4. 결론 ----------------- 4.1 앞으로 더욱 보완해야 할 사항 ----------------- 4.2 다른 idea ----------------- 5. 참고문헌 ----------------- 6. 졸업작품 후기 -----------------
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
마이크로 프로세서 2)DC모터 3)센서 4)RF통신 2.2 구현내용 (1) 차량부 (2) 주차장 (3) 하드웨어 회로도 3. 결과 3.1 최종결과물 3.2 검증방법 4. 결론 5. 참고문헌 6. 졸업작품 후기 7. 사용된 프로
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
마이크로프로세서8051응용로봇제작, 세화 출판사, 2006, pp453~461 [3] Atmel AT89S52 Data Sheet [4]http://www.servocity.com/html/hs-81_micro.html, How do servos work [5] http://www.atmel.com/ [6] 권준박 진상호, 로봇 제어 입문, 원창출판사,1999, pp9~10 [7] 임승철, 용대중, 유연한
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
전자 공학과, IDEC News Letter Octorber, vol.23, no. 5, p.16 ~ p.17 2003. <6> 최 평 Pspice 기초와 활용 - 북두 출판사 2000 p.477 ~ p.479 VCO . <7> 윤 정 배, “두 개의 DLL을 이용한 pulse shrinking delay line 제어회로”, 인하대 대학원 (2004) 석사 논문 , 국회도서
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용한 서보모터 구동 회로 제작 32 3.2.2. Servo motor 구동 pulse 32 3.2.3. Host program의 인터페이스 33 3.2.4. Host program 실행결과 34 3.3. 진행일정 35 4. 결 론 36 5. 참고 문헌 37 5.1. 참고 서적 37 5.2. 참고 사이트 37 6. 졸업작품 후기 37
  • 페이지 38페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
본 로봇의 미션은 자갈밭이나 모래가 많이 쌓인 Terrain(지역,지대) 즉, 보통의 라인트레이서로는 도달 불가능한 곳까지 무거운 자재나 운반물을 수송하는 것이다. 구현 방식으로는 AVR Atmega 128을 기반으로 하여 서보모터를 구동 및 제어하고, 무
  • 페이지 23페이지
  • 가격 5,000원
  • 발행일 2008.12.27
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자
MCU 제어부 ③ ZigBee 무선 통신 모듈 ④ 전원부 - Remote Control Module <그림 2 Remote Control Module> - 동작화면 <그림 3 동작화면> 1장. 작품의 필요성 2장. 작품과제 해결 방안 및 과정 3장. 개념설계 및 상세설계 3장. 1절 시스템블럭도 3장
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
졸업을 앞두고 제작한 본 졸업 작품 제작과정을 통해서 느낀 점이 참 많았다. 4년간 전자공학부 학생으로서 공부해 온 회로설계 시 필요한 많은 이론과 실험 수업을 통해 실제적인 지식을 적용시켜 작품을 만들어 낸 것은 보람이 있었다. 학부
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 2 다음
top