• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 333건

CTC 모드로 사용하였다. 그리고 프리스케일러의 분주비는 256, OCR1A 의 값은 624이므로 계산은 다음과 같다. Ⅰ. 목 표 Ⅱ. 개발환경 Ⅲ. AVR을 이용한 스탑워치 설계 1. 부품리스트 2. 회로도 3. 소스코드 4. 실험결과 5. 원리 및 동작해석
  • 페이지 11페이지
  • 가격 5,000원
  • 등록일 2015.10.28
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
2. 회로도 3. 소스코드 4. 실험결과 5. 기능별 원리 및 동작해석 (1) Timer/counter를 이용한 ‘1초’ 카운트 (2) 키 입력, 키 디바운싱 처리 (3) FND Dynamic Display (4) EEPROM 시간데이터 저장과 불러오기 (5) 알람기능 (6) 부저기능 (7) 시간설정기능
  • 페이지 30페이지
  • 가격 7,000원
  • 등록일 2015.12.04
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
따라 보이스코일이 진동하여 소리가 발생하게 된다. Ⅰ. 목표 Ⅱ. 개발환경 Ⅲ. 제작 1. 부품리스트 2. 회로도 3. 소스코드 Ⅳ. 결과 1. 사진 2. 동작설명 3. 기능별 원리 및 해석 (1) 피아노 건반 스위치 입력 (2) 음계 출력
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2021.10.29
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로도 3. 소스코드 4. 실험결과 5. 기능별 원리 및 동작해석 (1) 전원 (2) Timer/counter를 이용한 ‘1초’ 카운트 (3) 키 입력, 키 디바운싱 처리 (4) FND Dynamic Display (5) EEPROM 시간데이터 저장과 불러오기 (6) 시간 일시정지/시작 기능 (7) 부저기
  • 페이지 11페이지
  • 가격 5,000원
  • 등록일 2016.03.07
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ATmega128 Ⅰ. 개 요 Ⅱ. 개발환경 Ⅲ. 제작 1. 부품리스트 2. 회로도 3. 소스코드 Ⅳ. 결과 1. 사진 2. 동작설명 3. 기능별 원리 및 해석 (1) 스위치 입력 및 디바운싱 기능 (2) CLCD 화면 출력 (3) 초음파 거리측정 (4) 부저 기능 (5) 푸쉬
  • 페이지 19페이지
  • 가격 5,000원
  • 등록일 2019.08.13
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 8건

울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
3.6 동작원리 ----------------- 3.7 영상처리 후 AVR로 데이터 전송 ----------------- 4. 결론 ----------------- 4.1 앞으로 더욱 보완해야 할 사항 ----------------- 4.2 다른 idea ----------------- 5. 참고문헌 ----------------- 6. 졸업작품 후기 -----------------
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
2 MCU(Atmega128)핵심코드(타이머/인터럽트 설정) 3장. 3절. 3 MCU (Atmega128)핵심코드(UART 설정) 3장. 3절. 4 이벤트 생성 3장. 3절. 5 KEY PAD 3장. 3절. 6 타이머 처리 3장. 3절. 7 직렬통신 4장. 결론 및 기대효과 5장. 후기 6장. 참고문헌 별첨
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2.2.2.2 컨트롤러 Main 37 2.2.2.3 컨트롤러 UART Main 39 2.2.2.4 컨트롤러 UART 함수 40 2.3 실험 및 결과 40 2.3.1 하드웨어 동작 결과 36 2.3.2 하드웨어 제작 결과 36 제 3 장 결 론 10 3.1 결론 10 參考文獻 15
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도 및 도면 11 4.1 조작부 11 4.1.1 전체 11 4.1.2 ATmega128 12 4.1.3 JTAG Port 13 4.1.4 Power(+3.3v) 13 4.1.5 RF(Zigbee) 14 4.1.6 TFT-LCD 14 4.2 동작부 15 4.2.1 전체 15 4.2.2 ATmega128 16 4.2.3 RF(Zigbee) 17 4.2.4 Servo
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 9건

회로도를 해석하는 과정이 흥미로웠습니다. 또한, 현장 업무의 편의성을 위해 Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry 보드를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 IDE
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로도를 해석하는 과정이 흥미로웠습니다. 또한, 현장 업무의 편의성을 위해 Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry 보드를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 IDE
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로도를 해석하는 과정이 흥미로웠습니다. 또한, 현장업무의 편의성을 위해 Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry Board를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 GPIO, A
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로도를 해석하는 과정이 흥미로웠습니다. 또한, 현장업무의 편의성을 위해 Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry Board를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 GPIO, A
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로도를 해석하는 과정이 흥미로웠습니다. 또한, 현장업무의 편의성을 위해 Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry Board, CubeMx를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top