• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,412건

성균관대학교의 역동적이고 혁신적인 연구 환경은 제가 이러한 목표를 달성하는 데 있어 중요한 발판이 될 것입니다. 성균관대학교 전자전기공학부에서의 학문적 성취와 연구 경험은 제가 미래 산업을 이끌어 나갈 수 있는 기술 개발자로서
  • 페이지 4페이지
  • 가격 5,000원
  • 등록일 2023.11.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
downto 0); end if; end if; end process; end Behavioral; (2)Booth 곱셈기 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_signed.ALL; entity booth_multiplier is --승수, 피승수 및 출력의 길이 저장 generic (m_plicand_width : integer :=8; m_plier_width : integer :=8; output_width : integer
  • 페이지 19페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
for the Unit Under Test (UUT) --테스트벤치에서 실험하게 될 구조체 bit4_add_sub을 정의한다. COMPONENT bit4_add_sub PORT( x : IN std_logic_vector(3 downto 0); y : IN std_logic_vector(3 downto 0); ci : IN std_logic; m : IN std_logic; s : OUT std_logic_vector(3 downto 0); co : OUT std_logic ); END COMP
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
gic; G, P, Sum : out std_logic); end component; component Lookahead_carry_generator port(G, P : in std_logic_vector (3 downto 0); Ci : in std_logic; m : in std_logic; C : out std_logic_vector (4 downto 1); PG, GG : out std_logic); end component; begin B_sig(0) <= B(0) Xor m; B_sig(1) <= B(1) X
  • 페이지 17페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
'0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1111101"; when x"7" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "0000111"; when x"8" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1111111"; when x"9" => SEG2_COM3 <= '0'; SEG2_COM2 <
  • 페이지 11페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 11건

성균관대 정보통신대학원 석사학위논문. 이경복. 2010. “정보보호정책 관점에서의 한국형 스마트 그리드 추진 방안에 관한 연구”. 고려대 정보경영공학전문대학원 석사학위논문. 전기연구원. 2009. “이전기관과 연계한 지역발전 실행과제-
  • 페이지 30페이지
  • 가격 3,500원
  • 발행일 2011.12.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
전기가 없어서 충전 못함. 밧데리를 연결 한 결과 1분도 안되어서 다 방전 되었다. 충전을 해야 하는데 인터넷을 뒤졌으나 9.6V짜리 충전기는 구할 수 없었 다. 선배에게 자문을 구한 결과 파워 서플라이를 빌려 면서 급속 충전해 서 사용하라고
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
전기전자재료 18권 2호, 2005.2. 11. 신희경, 해양에너지 이용기술, 2006. ▷기사문 및 인터넷 자료 1. 조희남, “연료전지의 개발동향과 전망”, 경북대학교 교육대학원, 2004.7. 2. 김요희, “PLC기술의 현황과 활용방안”, 한국전기연구원, 2005.4. 3. 이
  • 페이지 48페이지
  • 가격 10,000원
  • 발행일 2009.05.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
전기전자 공학과, 삼성전자 LSI 시스템) 2004 <4> 김 대 정, “DLL기반의주파수 합성기” IDEC News Letter January, vol.34, no.5, p.16 ~ p.17 2005 <5> CMOS ADC DLL PLL 칩의 최신 기술 동향, 포항공대 전기전자 공학과, IDEC News Letter Octorber, vol.23, no. 5, p.16 ~ p
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
공학 (홍릉과학출판사, 2005) (7) Kenneth Krane 저, 현대물리학 (汎韓書籍, 1998) (8) 리차드 바이스 저, 빛의 역사 (끌리오, 1999) 1. 서론 2. 빛과 전자 3. 빛의 양자적 특성 4. 홀로그래피 5. LED 6. 디스플레이 7. 결론 8. 참고 문헌
  • 페이지 59페이지
  • 가격 3,000원
  • 발행일 2007.10.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 287건

공학(서울대) <대입 자기소개서/학업계획서 예문 8> 국어국문(성균관대) <대입 자기소개서/학업계획서 예문 9> 환경공학(경북대) <대입 자기소개서/학업계획서 예문 10> 해양학부(부산대) <대입 자기소개서/학업계획서 예문 11> 경영학과(한양
  • 가격 5,000원
  • 등록일 2010.05.18
  • 파일종류 한글(hwp)
  • 직종구분 기타
공학 4.3 940 해외봉사 홍익대/산업공학 4.1 880 국민대/재료공학 3.6 820 인턴 한국 항공대/기계공학 4.3 770 인턴 성균관대/전기전자공학 4.0 910 1. 성장과정 문과출신들 속의 공학도, 그러나 가족들이 개성을 장점으로 승화시켜주어 2. 지원
  • 가격 1,800원
  • 등록일 2014.02.02
  • 파일종류 한글(hwp)
  • 직종구분 기타
공학 4.3 940 해외봉사 홍익대/산업공학 4.1 880 국민대/재료공학 3.6 820 인턴 한국 항공대/기계공학 4.3 770 인턴 성균관대/전기전자공학 4.0 910 1. 성장과정 내부적 환경을 통해서 자연스럽게 화합과 조화의 의미를 깨달아 2. 지원동기 에너지
  • 가격 1,800원
  • 등록일 2014.02.03
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
공학 4.3 940 해외봉사 홍익대/산업공학 4.1 880 국민대/재료공학 3.6 820 인턴 한국 항공대/기계공학 4.3 770 인턴 성균관대/전기전자공학 4.0 910 1.성장과정 함께 어울려 무언가를 한다는 것에 즐거움과 보람을 느끼며 2. 지원동기 양계장 덕에
  • 가격 1,800원
  • 등록일 2014.02.02
  • 파일종류 한글(hwp)
  • 직종구분 기타
여러 가지 문제들을 해결할 수 있는 능력을 높여 나가겠습니다. 또한 공학대학원 과정이 지향하는 컴퓨터, IT비전에 혁신적 지능화를 추구할 수 있는 전문가로 거듭날 수 있도록 최선을 다할 것입니다. 1.자기소개 2.입학 후의 학업계획
  • 가격 8,000원
  • 등록일 2018.01.15
  • 파일종류 한글(hwp)
  • 직종구분 기타
top