• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 185건

• 구조와 원리가 가장 간단 • 갑작스러운 변화에 민감 • 전압을 조정하는 방식이라 상대적으로 잡음의 영향을 많이 받음 • 비교적 저속 디지털 전송에 많이 쓰임 Basic of ASK ASK (Amplitude Shift Key) FSK(Frequency Shift Key) PSK(Ph
  • 페이지 12페이지
  • 가격 1,200원
  • 등록일 2015.02.23
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
clc; clear; %%% 조건 %%% Tb=5e-4; % 정보신호의 주파수(Frequency of m(t)) fb = 2KHz (음성신호) fc=800e+2; % 반송파 주파수(frequency of carrior) fc = 800MHz (SKT 통신주파수) Ts=5e-6; %
  • 페이지 5페이지
  • 가격 5,000원
  • 등록일 2011.02.09
  • 파일종류 기타
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
s==1 R=3; end %16level uniform quantization if s==2 R=4; end L=2^R; %레벨의 갯수 cons_norm= max(abs(mt)); norm_mt=mt/cons_norm; diff=2/L; %양자화 레벨을 신호의 최대진폭을 기준으로 [-1,1]영역으로 일반화 시키기 위하여 %최대 진폭을 일반화상수로 지정하고, 일반화 되
  • 페이지 5페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
 1)자기 부상 시스템의 개념 및 그림 P9.14에 나온 각 블록의 역할은? 2) 보상기 설계 및 결과 연습문제 49
  • 페이지 5페이지
  • 가격 2,000원
  • 등록일 2011.12.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
MATLAB’의 사용법 미숙으로 인해 구현하는 데에 많은 어려움이 있었다. 전 학기에 수강한 ‘신호 및 시스템’ 과목에서 프로젝트를 할 때 ‘MATLAB’이라는 프로그램을 처음 사용해보았는데 그때의 경험이 이번 프로젝트에서도 많은 도움이 되
  • 페이지 19페이지
  • 가격 2,000원
  • 등록일 2010.09.10
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 3건

Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-5>배터리 SOC에 대한 C프로그램 시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-6> FCHEV 시뮬레이터의 기준속도와 실제속도 실험 결과 <그림Ⅲ-7> FCHEV 시뮬레이터에서 배터리 SOC 실험 결과 <그림Ⅲ-8>
  • 페이지 30페이지
  • 가격 5,500원
  • 발행일 2008.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
System to Drive EMDP(Electric Motor Driven Pump) using Brushless DC Motor’, 항공우주기술 제4권 제1호 [5] 하용봉, ‘Sensorless Brushless DC 모터제어(Control of Sensorless BLDC Motor)’, 한국특허정보원 1. 서론 2. DC 모터 2.1 DC 모터의 개요 2.1.1 DC 모터의 구조 및 원
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시스템블럭도 3장. 2절. 각 블록 설명 3장. 2절. 1 Hamming encoder/decoder 3장. 2절. 2 BPSK Modulator/Demodulator 3장. 2절. 3 Error Rate Calculation 3장. 2절. 4 Display 3장. 2절. 5 시뮬레이션 BER 값의 결과 비교 3장. 3절 소프트웨어 설계 3장. 3절. 1 Verilog
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 8건

시스템공학’에서 터보기계 사이클 성능을 NIST, Matlab 등을 사용해 직접 비교 분석하고, 에너지공단 주최하의 ‘울산 정유공장 견학’을 신청하여 공장의 다양한 기계들의 프로세스를 직접 살펴보았습니다. 저는 이렇게 다양한 프로젝트 경
  • 가격 3,000원
  • 등록일 2023.02.06
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
시스템(TCP/IP, UDP, Mobile IP, IPv6,.), 광대역 통신시스템(동기식 전송방식(SDH), BISDN과 ATM 통신방식, 고속data 통신망), 통신시스템/디지털통신시스템(변조 및 복조 방식, coding) C언어 - 중 / 어셈블리 - 하 / Pspice - 중 / Matlab - 하 * 해외연수, 교육, 봉
  • 가격 1,000원
  • 등록일 2010.02.17
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
시스템 여 8비트 : AVR, 8051, PIC 16비트 : 80196KC 32비트 : DSP(240X,28X,28XXX계열), ARM(v7,v9,v11) MATLAB,Visual studio, CCS, Keil-C, Mr.PIC, GCC, ADS1.2,Code Warrior 사용가능 WinCE 5.0,6.0 포팅 경험 Linux , Android 포팅 경험 주연구분야: 이동객체추적, 색차영상검출기술 FFT,
  • 가격 2,000원
  • 등록일 2011.03.02
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
Matlab을 이용하여 정확한 효율계산 프로세스를 구체화했습니다. 그 과정 중, 이상 및 실제 효율 차이는 세부계통과 관련 있다는 점을 알게 되었습니다. 항공기 효율상승은 추진체계뿐만 아니라 제어, 연료, 전기 체계의 인터페이스의 원활한
  • 가격 3,000원
  • 등록일 2023.02.06
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
시스템에 대한 지식을 습득하여 원자력에 대한 궁금증과 관심을 해결해갈 수 있었습니다. 1. 본인이 지원한 분야의 필요지식을 습득하기 위해 받은 학교교육 또는 직업교육 내용을 기술하고 현업에서의 활용방안에 대한 생각을 기술하
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top