• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 6,577건

다이오드의 특성을 이용해 *의 특성을 같은 and gate를 설계해 보았으며 그 값을 측정해 동작을 확인하는 과정을 가졌다. 브릿지 회로의 경우에서 또한 같은 특성을 볼 수 있었고 이렇게 여러가지 실험을 통해 다이오드라는 소자의 여러 활용법
  • 페이지 8페이지
  • 가격 1,500원
  • 등록일 2015.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
및 실험 기기 1) OS : Windows XP 2) 회로 Simulation : OrCAD 10.5 3) Presentation : Power Point 2007 4) 보고서 작성 : 한글 2007 5) 디지털 테스터기, 오실로스코프, 전원 공급 장치, 인두기 1. 과제 필요성 2. 주요 부품 개요 3. 개념설계 및 상세설계 4. 기대
  • 페이지 14페이지
  • 가격 3,300원
  • 등록일 2012.03.14
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
다이오드의 직렬구성 - AND게이트 - 정논리란? - 브릿지 회로란? * 문턱전압 이란? P형 반도체는 +, 즉 정공이 많은 반도체이지만, 물체의 극성으로 따지자면, 중성이다. 한마디로 내부 분자결합구조가 전자가 결합되기 쉬운 상태이다. N형 반
  • 페이지 4페이지
  • 가격 1,500원
  • 등록일 2007.05.28
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로)게이트 Ⅶ. 게이트와 AND(논리곱회로)게이트 Ⅷ. 게이트와 NOR(부정 논리합 회로)게이트 1. NOR 게이트는 NAND 게이트와 마찬가지로 NOT 게이트, OR 게이트, NAND 게이트 등으로 응용될 수 있다 2. NOR 게이트 두 개를 직렬로 연결시키면 0R 게
  • 페이지 9페이지
  • 가격 6,500원
  • 등록일 2013.07.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실습장에서는 0.2 [mSec]로 초기값을 놓고 실습을 하게 된다. 또 X-Y상태는 리사주 도형을 관찰할 수 있다. 전기 안전 전기 기초 이론 전류에 의한 자기현상 전기기기 전동기의 원리 회로시험기, 전류계, 전압계를 이용한 전기측정
  • 페이지 17페이지
  • 가격 2,000원
  • 등록일 2005.01.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 46건

기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어 지연단) 기본개념과 동작원리 8. DLL 구현 및 시물레이션 9. 결론 ♦ 참고문헌
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
-1] 각각의 장소에 센서 부착 ................................ 8 [그림 2-1] 다이오드의 전류흐름과 공핍층(depletion layer) ........ 10 [그림 2-2] p-n 다이오드의 전류-전압(I-V) 특성 ................. 11 [그림 2-3] LED 기본회로와 밴드이론에 의한 발광현상 ..........
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 3장. 3절. 1 순서도 3장. 3절. 2 MCU(Atmega128)핵심코드(타이머/인터럽트 설정) 3장. 3절. 3 MCU (Atmega128)핵심코드(UART 설정) 3장. 3절. 4 이벤트 생성 3장. 3절. 5 KEY PAD 3장. 3절. 6 타이머 처리 3장. 3절. 7 직렬통신 4장. 결론 및 기대효
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
4.2.5 DC Motor Drive 18 4.2.6 Camera Module 18 4.2.7 JTAG Port 19 4.2.8 LED Light / Power(+3.3v) 19 제 5 장 제작 및 실험 20 5.1 조작부 회로기판 20 5.2 동작부 회로기판 20 5.3 동작부 몸통(회로기판 제외) 21 제 6 장 결 론 22 參考文獻 23
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
구조 2-1. Passive Matrix 2-2. Active Matrix 3.OLED의 System 계략도 3-1. 일반적인 System 계략도 3-2. OLED System Block Diagram 3-3. Data Latch, Parallel / Serial Data Conversion 3-4. Instruction Decoder 3-4-1. Instruction Command Set 3-5. Serial Data Interface 및 DDRAM Contr
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 120건

회로 해석, 중첩의 정리 등 다양한 회로 해석 방법에 대하여 실험을 통하여 이해하고, 그 원리를 습득할수 있엇습니다. 1. 기업분석 - PCB산업 업계의 현황 1. 산업의 특성 2. 산업의 성장성 3. 경쟁요소 - 대덕전자의 현황 2. 자기소개
  • 가격 4,500원
  • 등록일 2021.11.08
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
및 설계에 대하여 학습. [전자회로및설계(2)/A+] 응용회로와 다양한 형태의 회로를 설계 하였습니다. [전력전자/A+] 전력반도체 소자와 전력전자 컨버터 회로에 대해서 학습. [마이크로콘트롤러설계/A+] AVR Atmega16을 이용한 설계. [제어공학실험(2)
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설계해 보았습니다. 이러한 지식을 바탕으로 더욱 발전시켜나간다면 LG전자에 입사하
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
설계 과제물 및 보고서 학년 / 학기 교과목 / 담당 교수 프로젝트 명칭 3학년 1학기 전자회로실험설계 / 권 보 규 교수님 디스코 라이트 컨트롤러(Disco Light Controller) 주요 내용 ◎ 목 적 : 디스코 라이트 컨트롤러는 교차하는 깜빡이는 디스코 라
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
및 기타역량을 기반으로 기술해주십시오. (입사 후 업무가 모호할 경우 업무를 추측해서 작성 요망) 배터리 회로설계 및 온도에 따른 충방전의 특성을 실험을 통해 수집하고 분석하겠습니다. 동시에 PCB 기판설계, 납땜, 프로그램 코딩에 참여
  • 가격 3,000원
  • 등록일 2023.02.02
  • 파일종류 아크로벳(pdf)
  • 직종구분 산업, 과학, 기술직
top