• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3건

소에 저장된 값이 출력되는 것을 확인하는 것이고(out_data), 또한 원래 입력된 주소에 새로운 DATA를 저장시켜 출력시켜 확인해 보는(in_data)가 있다. 첫 번째 rd에서 0인부분은 우리가 처음 입력시킨 주소값(0~짝수값~14, 1~홀수값~15)에서 주소 2,8,10
  • 페이지 3페이지
  • 가격 800원
  • 등록일 2008.03.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
주 진행될수록 VHDL이라는 언어에 점점 더 다가가는 것 같아서 마음이 뿌듯했고, 다음 실험도 수업시간에 집중하고, 집에서 미리미리 예습하여 정확하고 성공적인 실험을 이끌어 나가야겠다. 1. Purpose 2. Problem Statement 3. Sources & Results
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL코딩을 중심으로, 대영사 ▷ 이재수 외, 전자계산기 일반 및 컴퓨터구조, 한올 Ⅰ. 개요 Ⅱ. CPU(중앙처리장치) Ⅲ. 메인보드(Main Board, 마더보드) Ⅳ. 주기억장치 1. RAM의 기능 2. RAM의 사용목적 3. RAM칩 4. ROM Ⅴ. 보조기억장치 1.
  • 페이지 9페이지
  • 가격 5,000원
  • 등록일 2009.07.13
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top