• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 832건

이산수학_report#3.hwp ◉프로그램 코드  ≪ 글 - 그림 파일 ≫  ≪ 글 - 그림 파일 ≫  ≪ 글 - 그림 파일 ≫  ≪ 글 - 그림 파일 ≫  ≪ 글 - 그림 파일 ≫  ≪ 글 - 그림 파일 ≫ ◉예제데이터 char형 배열로 표현된 관계행
  • 페이지 24페이지
  • 가격 3,000원
  • 등록일 2012.12.23
  • 파일종류 기타
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
출력되는 것이란 사용되는 유효 숫자 7개를 제외한 나머지 자릿수들은 10n으로 나타내며, 여기서 10은 e로 표현되게 된다. session3.cpp session3.hwp 1.문제의제기 2.Double, float형 분석 3.2진수로 변환 프로그램 4.int,char형 분석 5.느낀점
  • 페이지 10페이지
  • 가격 900원
  • 등록일 2007.04.13
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
main() { unsigned char *p; videomode(); p = (unsigned char *)malloc(14*25*2); get_date(); savescreen(0,55,13,79,p); clp(0,55,13,79); box(10,55,13,79); box(0,55,10,79); operate(); restscreen(0,55,13,79,p); free(p); } void videomode() { int mode; union R
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2002.09.22
  • 파일종류 텍스트(txt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Chars Van Ripe r(1994). 언어치료학. 이규식ㆍ권도하공역. 서울:학문사 정옥란 역 (1993). 음성과 음성치료. 서울:배영출판사 Ⅰ. 언어의 구조 Ⅱ. 아동의 언어발달 이론 Ⅲ. 언어장애의 정의 및 분류 Ⅳ. 언어진단 및 평가 Ⅴ. 언어장애아
  • 페이지 7페이지
  • 가격 1,400원
  • 등록일 2006.04.06
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
_chars :추출할 문자의 수를 지정한다. 생략되면 "1"이 지정된 것으로 간주한다. "0"보다 크거나 같은 수를 지정하여야 하며 text의 길이보다 더 큰 숫자를 지정하면 전체 text문자열이 추출된다. LEN 함수 한 셀에 입력된 문자열의 전체 길이를 구한
  • 페이지 8페이지
  • 가격 1,500원
  • 등록일 2011.07.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 4건

char *)pMainDlg->buf,(unsigned int *)&yuv); // Compress the frame..... pMainDlg->cparams.format=CPARAM_QCIF; pMainDlg->cparams.inter = CPARAM_INTRA; pMainDlg->cparams.Q_intra = 8; pMainDlg->cparams.data=(unsigned int *)&yuv; // Data in YUV format... CompressFrame(&pMainDlg->
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
{ KEY_SCAN = (KEY_SCAN & ~KEY_MASK) | (~KEY_PORT & EY_MASK); SCAN_POS = 0; } } 나) Key Down 상태를 Check static char SetKeyDown(void) { nKeyDn = nDn2; nDn2 = nDn1; nDn1 = KEY_SCAN; nPass = nDn1 & nDn2; nKeyDn = (nPass ^ nKeyDn) & nPass if(nKeyDn) { // 해당 Key로 Switch~case 문 전환 } return 0; } 다)
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
tion 선언 ////////////////////////////////////////////// /////////////////////////////////////////////////////////////////////////////////// void uart_init(void); void Send_Data(unsigned char data); 2.3 실험 및 결과 2.3.1 하드웨어 동작 결과 처음에 본체와 컨트롤러간에 RF통신을 Enale 하기
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
char_out('a'); //chat_out이라는 함수를 호출하면서 'a'라는 캐릭터의 //값을 넘긴다. ir_out(0xc2); //ir_out의 함수를 호출하면서 0x82라는 인자값을 넘긴다. string_out("LCD Test"); //string_out이라는 함수를 호출하면서 "LCD //Test"라는 값을 넘긴다. } Ⅴ. 결과도찰
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top