• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 4,876건

회로도 (1)3진수 회로 (2)10진수 회로 (3) 최종 결과 회로 3.설계결과 10진수(3진수) 출력파형 ON 1(001) 2(002) 3(010) 4(011) 5(012) 6(020) 7(021) 8(022) 9(100) 4. TROUBLE SHOOTING 문제점 해결방안 회로의 복잡함으로 인한 가격,실용성 문제 ☞ 더 간단한 회로를 구성
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.09.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로와 컴퓨터논리회로 1. Boolean Algebra 1) 창시 1847 년 George Boole 2) 논리설계에 응용 1938년 Claude E. Shannon(BELL LAB.) -> Binary Logic 2. 기본 논리 : AND, OR, NOT, (XOR or EOR) - Boolean Algebra 의 기본 정리 * Duality Principle(이원성 원리, 쌍대성 원리) 어떤 명
  • 페이지 18페이지
  • 가격 9,000원
  • 등록일 2013.07.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계대로 y를 내부 신호로 설정해주고 1. 가산기 2. 4비트 가산기 3. y 벡터와 m의 xor 연산 하는 단계를 더해 주는 식으로 회로를 구현하였다면 이런 부분에서 실수를 하지 않았을 것이라는 점을 배웠다. 이번 실험은 단순하였기 때문에 실수 하
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로에서의 설계는 조합논리회로와 순서논리회로를 사용한 설계로 나눌수 있습니다. Vending Machine과 같이 조합논리회로를 사용해서는 표현하지 못하거나 어려운 부분을 순서논리회로를 사용하여 표현하면 보다 정확하게 표현이 가능했
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리설계기초, 에드텍, 1994 ◎ 이희규, 디지털공학, 지앤북, 2007 ◎ 안계선, 최신 디지털 논리회로 설계, 21세기사 ◎ 장은영, 디지털공학, 신화전산기획 ◎ 최갑석, 디지탈 회로, 학문사, 1991 ◎ 황희승, 디지털 설계, 동일출판사, 1991 Ⅰ. 개
  • 페이지 5페이지
  • 가격 5,000원
  • 등록일 2009.07.13
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 26건

설계하였다. <1> 전하펌프를 설계하는데 있어서는 위에 회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
yield tiny hairpin-line resonator filter", MW&RF November 1999 [5] 곽우영,박진우, “ Hairpin Line 여파기의 간단화된 등가회로”, 한국통신학회논문지 99-9 Vol.24 N0.9A Ⅰ. 서 론 Ⅱ. 설계 이론 Ⅲ. 설계 및 시뮬레이션 Ⅳ. 제작 및 측정 Ⅴ. 결 론
  • 페이지 5페이지
  • 가격 2,000원
  • 발행일 2008.11.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로를 pspice 툴을 이용하여 시뮬레이션 했고 그 값이 실제 소자를 이용하여 시스템을 설계했을 때와 비교하여 문제점과 개선점을 찾았다. 로봇이 물건을 원하는 위치에 옮기는 동작에서 로봇의 그립이 먼 거리에 있을 때와 가까이 있을 때 분
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도 및 도면 11 4.1 조작부 11 4.1.1 전체 11 4.1.2 ATmega128 12 4.1.3 JTAG Port 13 4.1.4 Power(+3.3v) 13 4.1.5 RF(Zigbee) 14 4.1.6 TFT-LCD 14 4.2 동작부 15 4.2.1 전체 15 4.2.2 ATmega128 16 4.2.3 RF(Zigbee) 17 4.2.4 Servo
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 배경 1 제 2 장 본 론 2 2.1 구조 및 동작원리 2 2.2 등가회로 분석 3 2.3 실험장치 구성 및 방법 11 2.3.1 실험장치 구성 11 2.3.2 실험 방법 12 2.4 분석 사항 13 제 3 장 실험결과 분석 14 3.1 결과분석 14 제 4 장
  • 페이지 19페이지
  • 가격 3,000원
  • 발행일 2010.05.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 186건

설계하고 조립하여 완성된 로봇이 제대로 동작했을 때의 성취감, 대학교 3학년 논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
회로에 대한 이해를 바탕으로 목표 애플리케이션에 최적화된 설계 역량이 필요합니다. 저는 이를 위해 다음과 같은 경험을 쌓아왔습니다. 첫째, 직무 수행에 필요한 전공 지식을 갖췄습니다. 전자회로1,2, 집적회로, 디지털논리회로1,2, 고급
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로에 대한 이해를 바탕으로 목표 애플리케이션에 최적화된 설계 역량이 필요합니다. 저는 이를 위해 다음과 같은 경험을 쌓아왔습니다. 첫째, 직무 수행에 필요한 전공 지식을 갖췄습니다. 전자회로1,2, 집적회로, 디지털논리회로1,2, 고급
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로 디지털 논리 회로 과목을 꾸준히 수강함으로써 ECU의 회로를 설계하는데 필요한 역량을 갖출 수 있었다고 자부합니다. D. 그 일의 결과는 어떠하였고, 이 경험에서 어떤 교훈을 얻었습니까? (100자 내외) 저는 이를 통해 열정은 무엇이든
  • 가격 2,000원
  • 등록일 2015.06.20
  • 파일종류 한글(hwp)
  • 직종구분 기타

서식 1건

top