• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 106건

설계보다 코딩으로 기술 가능한 점, Simulation을 통해 오류를 찾기 쉬운점, 정확한 논리식이 불필요하고 알고리즘에 의해 구현가능한 점, 쉽게 수정 가능한 점을 이번 Term Project를 통해 느끼게 되었습니다. 1.개요 -VHDL언어란 2. Term Project주
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
자판기 회로 테스트벤치(simvision)................................24 4 설계 추진 체계 및 일정 4.1 팀원 역할 분담...............................................25 4.2 설계 일정......................................................25 5 활용분야 및 발전방향....................
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2013.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
자판기 설계를 다시하였다. 카르노맵간소화 회로설계 프로그램 구현 스위치를 누를 때 단발 펄스 발생 Cedar logic simulator 를 이용하여 자판기 회로를 구현해 보았다. 과제 개요 자동 판매기란? 목표설정 상품과 거스름돈이 나오는경우
  • 페이지 10페이지
  • 가격 13,860원
  • 등록일 2012.09.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
활용될 수 있는 제품을 설계 • 제작한다. 2.실험 설계 주제 자판기 회로 설계 • 제작 3.제품 사양 A.100원 및 500원 동전만 사용 가능하며, 최대 500원까지 투입할 수 있다. 투입된 금액은 7-seg LED로 표시된다. B.500원 이상 투입되면 자동
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2010.04.04
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

취업자료 2건

활용하지는 않았지만 대학교 3학년 때 프로젝트로 적외선 센서를 이용한 자동 추적 카트를 제작하면서 일정한 거리를 유지하며 전체 움직임을 제어한다는 것의 어려움을 배웠고 이러한 기술들에 흥미를 많이 갖게 되었습니다. 지금은 차량시
  • 가격 1,300원
  • 등록일 2013.03.07
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
활용하여 최상의 결과를 얻었던 경험을 다음의 세부 항목에 따라 작성해 주십시오.  A. 언제, 어디에서 겪은 경험이었습니까? (100자 내외)  B. 자원은 얼마나 부족하였으며 그 이유는 무엇이었습니까? (100자 내외)  C. 한정된 자원을 어떻
  • 가격 2,000원
  • 등록일 2015.06.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
top