• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 198건

주차를 자동으로 해 줄 수 있는 시스템을 만들어 보았다. 1.2 목적 영상처리와 모터(DC모터, servo모터)제어를 컴퓨터와 AVR을 통하여 구현해 봄으로써 실제적 경험을 쌓는다. 카메라를 이용하여 공간에서 차의 위치를 인식하고, 주차에 필
  • 페이지 49페이지
  • 가격 5,000원
  • 등록일 2014.08.04
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1.서론 1.1 졸업작품 제목 위치제어를 이용한 지하철 자동화 시스템 1.2 연구 동기 현대사회에서 가장 많이 타고 다니는 것이 아마도 지하철일 것이다. 그러나 가장 많은 적자를 기록하고 있는 것도 아마도 지하철일듯 싶다.
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2014.08.04
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
졸업작품 후기……………………………………………………………p.19 7. 사용된 프로그램  7.1 ParkSystem.java………………………………………………………p.20  7.2 Test.java……………………………………………………………p.38 inter
  • 페이지 44페이지
  • 가격 5,000원
  • 등록일 2014.08.04
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
라인 트레이서는 바닥에 그려저 있는 흰색이나 검정색의 선을 따라 움직이는 로봇으로 공장에서의 무인 이동 로봇등에 활용 할 수 있습니다. 라인 트레이서 경기는 자율이동 로봇이 정해진 주행선을 따라서 주행하면서 속도를 경쟁하는 경기
  • 페이지 43페이지
  • 가격 5,000원
  • 등록일 2014.08.04
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
AVR 갖구 놀기 [http://cafe.naver.com/carroty] 목 차 요약 (ii) 1. 작품의 목적 및 필요성 (1) 2. 작품의 특징 및 신규성 (1) 2.1 기존 작품 조사 (1) 2.2 기존 기술의 응용 (2) 2.3 신규 기술 (2) 3. 작품 설계 (3) 3.1 작품의 구성 (3)
  • 페이지 24페이지
  • 가격 7,000원
  • 등록일 2011.11.04
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 13건

USB 캠 ----------------- 3.6 동작원리 ----------------- 3.7 영상처리 후 AVR로 데이터 전송 ----------------- 4. 결론 ----------------- 4.1 앞으로 더욱 보완해야 할 사항 ----------------- 4.2 다른 idea ----------------- 5. 참고문헌 ----------------- 6. 졸업작품 후
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
이용한 서보모터 구동 회로 제작 32 3.2.2. Servo motor 구동 pulse 32 3.2.3. Host program의 인터페이스 33 3.2.4. Host program 실행결과 34 3.3. 진행일정 35 4. 결 론 36 5. 참고 문헌 37 5.1. 참고 서적 37 5.2. 참고 사이트 37 6. 졸업작품 후기 37
  • 페이지 38페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
마이크로 프로세서 2)DC모터 3)센서 4)RF통신 2.2 구현내용 (1) 차량부 (2) 주차장 (3) 하드웨어 회로도 3. 결과 3.1 최종결과물 3.2 검증방법 4. 결론 5. 참고문헌 6. 졸업작품 후기 7. 사용된 프로
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
작품기능 시스템 구성 및 내역-------------------------- 1) 흐름도 2) 각 기능별 회로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robot부분 TEST--------------------------- 1) Robot_Main부분 Test 2) Receive LCD Part TEST
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
4.2.5 DC Motor Drive 18 4.2.6 Camera Module 18 4.2.7 JTAG Port 19 4.2.8 LED Light / Power(+3.3v) 19 제 5 장 제작 및 실험 20 5.1 조작부 회로기판 20 5.2 동작부 회로기판 20 5.3 동작부 몸통(회로기판 제외) 21 제 6 장 결 론 22 參考文獻 23
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 5건

모터제어쪽에 관심이 있습니다. 예전의 DC모터나 AC모터를 사용하던 시대에 비해 이제는 BLDC모터를 사용합니다. 삼성전자 AS를 하고있는 사촌형이 세탁기 수리를 하는데 이제는 BLDC모터라서 대부분 그냥 가서 보드만 갈아주면 대부분 돌아가
  • 가격 2,000원
  • 등록일 2011.03.05
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
마이크로 프로세서(8051,Z80등)와 인터페이스를 할 수 있는 응용 소프트웨어와 하드웨어 설계를 할 수 있습니다. 졸업 작품으로는 초음파 영상처리 시스템을 만들었는데 그 중 제가 담당한 부분은 PC와 SCAN하는 모터를 사용자의 임의대로 조정
  • 가격 1,700원
  • 등록일 2010.09.01
  • 파일종류 워드(doc)
  • 직종구분 IT, 정보통신
모터제어: DC , BLDC 모터제어 센서 : 각종 센서 정밀제어 경험(가속도, 자이로, 가스센서 및 기타) RFID 모듈관련 솔루션 제작 논문명 : 영상처리 알고리즘을 이용한 모바일로봇의 객체추적시스템 여 8비트 : AVR, 8051, PIC 16비트 : 80196KC 32비트 : DSP(2
  • 가격 2,000원
  • 등록일 2011.03.02
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
AVR내부의 인터럽트로 처리하여 실시간으로 AVR에서 MFC로 시리얼 통신을 통해 넘겨주게 되고, 마찬가지로 MFC에서도 AVR을 제어하여 스텝모터를 구동시킬 수 있으며, 각각의 상황에서 AVR에 연결된 LCD와, MFC 다이얼로그 창에서 상태를 확인할 수
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
인식한 문제를 어떤 방법으로 해결하셨습니까? 주위 동료는 어떻게 반응하였습니까? 3.당신의 과제를 수행하기 위하여 체계적으로 정보를 수집하고 분석하여 결과를 내신 경험을 다음 항목에 따라 구체적으로 작성하여 주십시오. 3-1 당신
  • 가격 2,000원
  • 등록일 2022.03.28
  • 파일종류 한글(hwp)
  • 직종구분 공사, 공무원
top